Name
Affiliation
Papers
NICOLA NICOLICI
McMaster University, Canada
94
Collaborators
Citations 
PageRank 
54
807
59.91
Referers 
Referees 
References 
1056
1431
1451
Search Limit
1001000
Title
Citations
PageRank
Year
Bit-flip detection-driven selection of trace signals.00.342018
Emulation Infrastructure for the Evaluation of Hardware Assertions for Post-silicon Validation10.402017
An automated SAT-based method for the design of on-chip bit-flip detectors.00.342017
On-Chip Cube-Based Constrained-Random Stimuli Generation for Post-Silicon Validation.00.342016
Automated Selection of Assertions for Bit-Flip Detection During Post-Silicon Validation.40.432016
Generating Cyclic-Random Sequences in a Constrained Space for In-System Validation.00.342016
Guest Editors' Introduction: Top Papers from the 2015 International Test Conference.00.342016
Emulation-based selection and assessment of assertion checkers for post-silicon validation20.372015
SAT Solving using FPGA-based Heterogeneous Computing10.372015
A methodology for automated design of embedded bit-flips detectors in post-silicon validation40.402015
Satisfiability-Based Analysis of Failing Traces during Post-silicon Debug10.372015
On-Chip Generation of Uniformly Distributed Constrained-Random Stimuli for Post-Silicon Validation20.382015
A Multiple-FPGA parallel computing architecture for real-time simulation of soft-object deformation00.342014
A Novel Algorithmic Approach to Aid Post-Silicon Delay Measurement and Clock Tuning20.372014
FPGA acceleration of enhanced boolean constraint propagation for SAT solvers60.502013
NoC-Based FPGA Acceleration for Monte Carlo Simulations with Applications to SPECT Imaging30.602013
Hardware-efficient on-chip generation of time-extensive constrained-random sequences for in-system validation40.422013
Automated data analysis techniques for a modern silicon debug environment30.412012
Mapping Trigger Conditions onto Trigger Units during Post-silicon Validation and Debugging40.452012
In-system constrained-random stimuli generation for post-silicon validation10.372012
Automating Data Analysis and Acquisition Setup in a Silicon Debug Environment60.632012
On-chip stimuli generation for post-silicon validation20.372012
Embedded debug architecture for bypassing blocking bugs during post-silicon validation50.402011
Numerical Data Representations for FPGA-Based Scientific Computing80.572011
Guest Editors' Introduction: Surveying the Landscape of FPGA Accelerator Research20.402011
Automated Range and Precision Bit-Width Allocation for Iterative Computations60.462011
A VLSI architecture and the FPGA prototype for MPEG-2 audio/video decoding40.492011
A New Algorithm for Post-Silicon Clock Measurement and Tuning20.382011
Computational Vector-Magnitude-Based Range Determination for Scientific Abstract Data Types10.352011
On Using Lossy Compression for Repeatable Experiments during Silicon Debug30.402011
Dynamic binary translation to a reconfigurable target for on-the-fly acceleration10.372011
A Parallel Computing Platform for Real-Time Haptic Interaction with Deformable Bodies110.532010
Robust design methods for hardware accelerators for iterative algorithms in scientific computing40.422010
Automated silicon debug data analysis techniques for a hardware data acquisition environment50.482010
Embedded memory binding in FPGAs10.472010
Haptic rendering of deformable objects using a multiple FPGA parallel computing architecture10.352010
A novel optimal single constant multiplication algorithm40.412010
Time-multiplexed compressed test of SOC designs40.422010
Automated trace signals selection using the RTL descriptions50.482010
Post-silicon validation opportunities, challenges and recent advances682.332010
Real-time lossless compression for silicon debug130.852009
Computational bit-width allocation for operations in vector calculus20.382009
Automated data analysis solutions to silicon debug180.782009
Algorithms for state restoration and trace-signal selection for data acquisition in silicon debug693.162009
A 1.5GS/s 4096-point digital spectrum analyzer for space-borne applications10.462009
Design-For-Debug For Post-Silicon Validation: Can High-Level Descriptions Help?40.532009
Resource-Efficient Programmable Trigger Units for Post-Silicon Validation120.692009
Distributed Embedded Logic Analysis For Post-Silicon Validation Of Socs281.202008
A Novel Automated Scan Chain Division Method for Shift and Capture Power Reduction in Broadside At-Speed Test50.452008
Power-Aware Testing and Test Strategies for Low Power Devices60.452008
  • 1
  • 2