Name
Affiliation
Papers
JUINN-DAR HUANG
Department of Electronics Engineering, National Chiao Tung University, Taiwan, R.O.C.
56
Collaborators
Citations 
PageRank 
75
270
27.42
Referers 
Referees 
References 
323
707
566
Search Limit
100707
Title
Citations
PageRank
Year
Hardware-Friendly Progressive Pruning Framework for CNN Model Compression using Universal Pattern Sets00.342022
Performance Optimization for MLP Accelerators using ILP-Based On-Chip Weight Allocation Strategy00.342022
Diagnosis for Reconfigurable Single-Electron Transistor Arrays with a More Generalized Defect Model00.342021
High-Speed Power-Efficient Coarse-Grained Convolver Architecture using Depth-First Compression Scheme00.342020
A Coarse-Grained Dual-Convolver Based CNN Accelerator with High Computing Resource Utilization00.342020
Storage-Aware Algorithms for Dilution and Mixture Preparation With Flow-Based Lab-on-Chip.10.352020
Forecast-Based Sample Preparation Algorithm for Unbalanced Splitting Correction on DMFBs00.342019
A Comprehensive Security System for Digital Microfluidic Biochips10.352018
Architecture Exploration and Delay Minimization Synthesis for SET-Based Programmable Gate Arrays00.342018
Dilution and Mixing Algorithms for Flow-Based Microfluidic Biochips.110.602017
Volume-oriented sample preparation for reactant minimization on flow-based microfluidic biochips with multi-segment mixers80.502015
Probability-Based Static Scaling Optimization for Fixed Wordlength FFT Processors.00.342014
Ilp-Based Bitwidth-Aware Subexpression Sharing For Area Minimization In Multiple Constant Multiplication00.342014
Two-staged parallel layer-aware partitioning for 3D designs00.342014
Area minimization synthesis for reconfigurable single-electron transistor arrays with fabrication constraints70.622014
Sample preparation for droplet-based microfluidics00.342014
Reactant and Waste Minimization in Multitarget Sample Preparation on Digital Microfluidic Biochips220.922013
Sample preparation for many-reactant bioassay on DMFBs using common dilution operation sharing170.692013
Latency-optimization synthesis with module selection for digital microfluidic biochips60.412013
Tutorial: Digital Microfluidic Biochips: Towards Hardware/Software Co-Design And Cyber-Physical System Integration00.342013
Graph-based optimal reactant minimization for sample preparation on digital microfluidic biochips200.932013
Performance-driven architectural synthesis for distributed register-file microarchitecture considering inter-island delay30.432012
Reactant minimization during sample preparation on digital microfluidic biochips using skewed mixing trees431.842012
Thermal-aware logic block placement for 3D FPGAs considering lateral heat dissipation (abstract only)00.342012
Performance-Driven Architectural Synthesis for Distributed Register-File Microarchitecture with Inter-Island Delay.00.342012
Architectural Synthesis Frameworks on Distributed Register-File Microarchitecture Family00.342011
Equivalence checking of scheduling with speculative code transformations in high-level synthesis170.822011
Throughput optimization for latency-insensitive system with minimal queue insertion20.372011
Layer-Aware Design Partitioning for Vertical Interconnect Minimization50.422011
Communication Synthesis For Interconnect Minimization Targeting Distributed Register-File Microarchitecture20.402011
Expandable MDC-based FFT architecture and its generator for high-performance applications.00.342010
FSM-Based Formal Compliance Verification of Interface Protocols00.342010
A Hierarchical Criticality-Aware Architectural Synthesis Framework For Multicycle Communication40.452010
Automatic verification stimulus generation for interface protocols modeled with non-deterministic extended FSM10.432009
Communication Synthesis For Interconnect Minimization In Multicycle Communication Architecture30.392009
CriAS: A performance-driven criticality-aware synthesis flow for on-chip multicycle communication architecture40.412009
Reducing fault dictionary size for million-gate large circuits10.362009
Simultaneous data transfer routing and scheduling for interconnect minimization in multicycle communication architecture30.402009
Low power multiplexer tree design using dynamic propagation path control00.342008
A multicycle communication architecture and synthesis flow for global interconnect resource sharing50.472008
Cycle-time-aware sequential way-access set-associative cache for low energy consumption00.342008
Verification of Pin-Accurate Port Connections00.342008
Fault Dictionary Size Reduction for Million-Gate Large Circuits30.442007
A Precise Bandwidth Control Arbitration Algorithm for Hard Real-Time SoC Buses40.462007
FSM-based transaction-level functional coverage for interface compliance verification30.412006
A real-time and bandwidth guaranteed arbitration algorithm for SoC bus communication80.692006
Stimulus generation for interface protocol verification using the nondeterministic extended finite state machine model80.522005
Verification on Port Connections00.342004
Unified functional decomposition via encoding for FPGA technology mapping20.452001
ALTO: an iterative area/performance tradeoff algorithm for LUT-based FPGA technology mapping20.412000
  • 1
  • 2