Name
Affiliation
Papers
JERZY TYSZER
Poznan University of Technology
94
Collaborators
Citations 
PageRank 
85
838
74.98
Referers 
Referees 
References 
1022
863
1373
Search Limit
1001000
Title
Citations
PageRank
Year
LBIST for Automotive ICs With Enhanced Test Generation00.342022
X-Masking for In-System Deterministic Test00.342022
X-Tolerant Compactor maXpress for In-System Test Applications With Observation Scan00.342021
Autonomous Scan Patterns for Laser Voltage Imaging00.342021
On Reduction of Deterministic Test Pattern Sets10.382021
Time and Area Optimized Testing of Automotive ICs00.342021
Convolutional Compaction-Based MRAM Fault Diagnosis00.342021
X-Tolerant Tunable Compactor for In-System Test10.352020
Scan Integrity Tests for EDT Compression00.342020
Low Cost Hypercompression of Test Data10.352020
Test Sequence-Optimized BIST for Automotive Applications10.352020
Deterministic Stellar BIST for Automotive ICs10.352020
Test Time and Area Optimized BrST Scheme for Automotive ICs00.342019
Logic BIST with Capture-per-Clock Hybrid Test Points30.392019
On Cyclic Scan Integrity Tests for EDT-based Compression00.342019
Deterministic Stellar BIST for In-System Automotive Test00.342018
Hypercompression of Test Patterns00.342018
On New Class of Test Points and Their Applications00.342018
Hardware Protection via Logic Locking Test Points.00.342018
ROM fault diagnosis for O(n2) test algorithms.00.342017
Star-EDT: Deterministic On-Chip Scheme Using Compressed Test Patterns.20.362017
Trimodal Scan-Based Test Paradigm.70.522017
Embedded Deterministic Test Points.60.452017
On Test Points Enhancing Hardware Security00.342016
On New Test Points for Compact Cell-Aware Tests.10.352016
A deterministic BIST scheme based on EDT-compressed test patterns00.342015
Scan Test Bandwidth Management for Ultralarge-Scale System-on-Chip Architectures20.642015
Isometric Test Data Compression40.422015
Low-Power Programmable PRPG With Test Compression Capabilities80.512015
Design for low test pattern counts20.372015
Embedded deterministic test points for compact cell-aware tests70.522015
TestExpress - New Time-Effective Scan-Based Deterministic Test Paradigm.10.352015
Low Power Test Compression with Programmable Broadcast-Based Control00.342014
Erratum to "Test Time Reduction in EDT Bandwidth Management for SoC Designs".00.342014
Quality assurance in memory built-in self-test tools10.372014
On Using Implied Values in EDT-based Test Compression10.352014
On Deploying Scan Chains for Data Storage in Test Compression Environment.40.412013
Fault diagnosis of TSV-based interconnects in 3-D stacked designs60.502013
Test Time Reduction in EDT Bandwidth Management for SoC Designs10.372013
EDT bandwidth management - Practical scenarios for large SoC designs80.582013
EDT Bandwidth Management in SoC Designs130.592012
Bandwidth-aware test compression logic for SoC designs80.492012
Fault Diagnosis with Orthogonal Compactors in Scan-Based Designs50.552011
EDT channel bandwidth management in SoC designs with pattern-independent test access mechanism70.452011
Ring Generator: An Ultimate Linear Feedback Shift Register00.342011
Power Aware Embedded Test40.452011
Diagnosis of failing scan cells through orthogonal response compaction20.372011
Dynamic Channel Allocation For Higher Edt Compression In Soc Designs70.512010
On compaction utilizing inter and intra-correlation of unknown states150.612010
Low Power Compression Of Incompatible Test Cubes20.362010
  • 1
  • 2